From 835baba906728e7bfc8023a67fa1e42f6af03ba0 Mon Sep 17 00:00:00 2001 From: FreeArtMan Date: Mon, 15 Oct 2018 20:46:48 +0100 Subject: Add CPU NAND --- cpu8/cpu_nand/Makefile | 9 ++++++ cpu8/cpu_nand/cpu_nand.cpp | 70 ++++++++++++++++++++++++++++++++++++++++++++++ cpu8/cpu_nand/cpu_nand.hpp | 25 +++++++++++++++++ cpu8/cpu_nand/notes.txt | 0 4 files changed, 104 insertions(+) create mode 100644 cpu8/cpu_nand/Makefile create mode 100644 cpu8/cpu_nand/cpu_nand.cpp create mode 100644 cpu8/cpu_nand/cpu_nand.hpp create mode 100644 cpu8/cpu_nand/notes.txt diff --git a/cpu8/cpu_nand/Makefile b/cpu8/cpu_nand/Makefile new file mode 100644 index 0000000..528f57e --- /dev/null +++ b/cpu8/cpu_nand/Makefile @@ -0,0 +1,9 @@ +SYSTEMC_PATH=/home/fam/downloads/source/systemc/systemc-2.3.2 +SYSTEMC_INC=$(SYSTEMC_PATH)/src +SYSTEMC_LIB=$(SYSTEMC_PATH)/src/.libs + +PROJECT=cpu_nand + +make: + g++ $(PROJECT).cpp -I$(SYSTEMC_INC) -L$(SYSTEMC_LIB) -Wl,-rpath=$(SYSTEMC_LIB)\ + -o $(PROJECT) -lsystemc -lm \ No newline at end of file diff --git a/cpu8/cpu_nand/cpu_nand.cpp b/cpu8/cpu_nand/cpu_nand.cpp new file mode 100644 index 0000000..c6c8489 --- /dev/null +++ b/cpu8/cpu_nand/cpu_nand.cpp @@ -0,0 +1,70 @@ +#include +#include + +#include "systemc.h" +#include "systemc" +#include +#include + +#include "cpu_nand.hpp" + +SC_MODULE(test_cpu_nand) +{ + sc_out a,b; + sc_in clk; + + void test_cpu_nand_stim() + { + wait(); + a.write(1); + b.write(1); + wait(); + + a.write(0); + b.write(0); + wait(); + + a.write(1); + b.write(0); + wait(); + + a.write(0); + b.write(1); + wait(); + + sc_stop(); + } + + SC_CTOR(test_cpu_nand) + { + SC_THREAD(test_cpu_nand_stim); + sensitive << clk.pos(); + + } +}; + +int sc_main(int argc, char **argv) { + sc_signal sig_a, sig_b, sig_c; + sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS); + + test_cpu_nand Stim1("Stimulus"); + Stim1.a(sig_a); + Stim1.b(sig_b); + Stim1.clk(TestClk); + + cpu_nand DUT("nand"); + DUT.in_a(sig_a); + DUT.in_b(sig_b); + DUT.out_c(sig_c); + + sc_trace_file *Tf; + Tf = sc_create_vcd_trace_file("trace_cpu_nand.dat"); + sc_trace(Tf, sig_a, "IN_A"); + sc_trace(Tf, sig_b, "IN_B"); + sc_trace(Tf, sig_c, "SIG_C"); + + sc_start(); + sc_close_vcd_trace_file(Tf); + + return(0); +} \ No newline at end of file diff --git a/cpu8/cpu_nand/cpu_nand.hpp b/cpu8/cpu_nand/cpu_nand.hpp new file mode 100644 index 0000000..5e5e517 --- /dev/null +++ b/cpu8/cpu_nand/cpu_nand.hpp @@ -0,0 +1,25 @@ +#ifndef __SYSC_CPU_NAND_HPP +#define __SYSC_CPU_NAND_HPP + +#include "systemc.h" + +SC_MODULE (cpu_nand) +{ + sc_in in_a; + sc_in in_b; + sc_out out_c; + + void do_nand() + { + out_c.write( !(in_a.read() && in_b.read()) ); + } + + SC_CTOR(cpu_nand) + { + SC_METHOD(do_nand); + sensitive << in_a << in_b; + } + +}; + +#endif \ No newline at end of file diff --git a/cpu8/cpu_nand/notes.txt b/cpu8/cpu_nand/notes.txt new file mode 100644 index 0000000..e69de29 -- cgit v1.2.3