From e943743711ae48839e6046ff427e2e40d8bd51de Mon Sep 17 00:00:00 2001 From: FreeArtMan Date: Mon, 5 Nov 2018 20:57:50 +0000 Subject: Add CPU HALF_ADDER --- cpu8/cpu_half_adder/Makefile | 12 +++++ cpu8/cpu_half_adder/cpu_half_adder.cpp | 73 +++++++++++++++++++++++++++ cpu8/cpu_half_adder/cpu_half_adder.hpp | 91 ++++++++++++++++++++++++++++++++++ 3 files changed, 176 insertions(+) create mode 100644 cpu8/cpu_half_adder/Makefile create mode 100644 cpu8/cpu_half_adder/cpu_half_adder.cpp create mode 100644 cpu8/cpu_half_adder/cpu_half_adder.hpp diff --git a/cpu8/cpu_half_adder/Makefile b/cpu8/cpu_half_adder/Makefile new file mode 100644 index 0000000..544b14d --- /dev/null +++ b/cpu8/cpu_half_adder/Makefile @@ -0,0 +1,12 @@ +SYSTEMC_PATH=/home/fam/downloads/source/systemc/systemc-2.3.2 +SYSTEMC_INC=$(SYSTEMC_PATH)/src +SYSTEMC_LIB=$(SYSTEMC_PATH)/src/.libs + +PROJECT=cpu_half_adder + +make: + g++ $(PROJECT).cpp -I$(SYSTEMC_INC) -L$(SYSTEMC_LIB) -Wl,-rpath=$(SYSTEMC_LIB)\ + -o $(PROJECT) -lsystemc -lm + + + diff --git a/cpu8/cpu_half_adder/cpu_half_adder.cpp b/cpu8/cpu_half_adder/cpu_half_adder.cpp new file mode 100644 index 0000000..1eb0c49 --- /dev/null +++ b/cpu8/cpu_half_adder/cpu_half_adder.cpp @@ -0,0 +1,73 @@ +#include +#include + +#include "systemc.h" +#include "systemc" +#include +#include + +#include "cpu_half_adder.hpp" + +SC_MODULE(test_cpu_half_adder) +{ + sc_out a,b; + sc_in clk; + + void test_cpu_half_adder_stim() + { + wait(); + a.write(0); + b.write(0); + + wait(); + a.write(0); + b.write(1); + + wait(); + a.write(1); + b.write(0); + + wait(); + a.write(1); + b.write(1); + + wait(); + + sc_stop(); + } + + SC_CTOR(test_cpu_half_adder) + { + SC_THREAD(test_cpu_half_adder_stim); + sensitive << clk.pos(); + + } +}; + +int sc_main(int argc, char **argv) { + sc_signal sig_in_a, sig_in_b, sig_out_sum, sig_out_carry; + sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS); + + test_cpu_half_adder Stim1("Stimulus"); + Stim1.a(sig_in_a); + Stim1.b(sig_in_b); + Stim1.clk(TestClk); + + cpu_half_adder DUT("cpu_half_adder"); + DUT.in_a(sig_in_a); + DUT.in_b(sig_in_b); + DUT.out_sum(sig_out_sum); + DUT.out_carry(sig_out_carry); + + sc_trace_file *Tf; + Tf = sc_create_vcd_trace_file("trace_cpu_half_adder.dat"); + sc_trace(Tf, sig_in_a, "IN_A"); + sc_trace(Tf, sig_in_b, "IN_B"); + sc_trace(Tf, sig_out_sum, "OUT_SUM"); + sc_trace(Tf, sig_out_carry, "OUT_CARRY"); + + sc_start(); + sc_close_vcd_trace_file(Tf); + + return(0); +} \ No newline at end of file diff --git a/cpu8/cpu_half_adder/cpu_half_adder.hpp b/cpu8/cpu_half_adder/cpu_half_adder.hpp new file mode 100644 index 0000000..ee115da --- /dev/null +++ b/cpu8/cpu_half_adder/cpu_half_adder.hpp @@ -0,0 +1,91 @@ +#ifndef __SYSC_CPU_DMUX_HPP +#define __SYSC_CPU_DMUX_HPP + +#include "systemc.h" +#include "../cpu_and/cpu_and.hpp" +#include "../cpu_or/cpu_or.hpp" +#include "../cpu_not/cpu_not.hpp" + +SC_MODULE (cpu_and2) +{ + sc_in in_a; + sc_in in_b; + sc_port,2> out_c; + + void do_and2() + { + //out_c[0]->write( in_a.read() && in_b.read() ); + for (int i=0; iwrite(in_a.read() && in_b.read()); + } + } + + SC_CTOR(cpu_and2) + { + SC_METHOD(do_and2); + sensitive << in_a << in_b; + } + +}; + +SC_MODULE (cpu_half_adder) +{ + //Inputs + sc_in in_a; + sc_in in_b; + sc_out out_sum; + sc_out out_carry; + + cpu_and2 *and1,*and2; + cpu_or *or1; + cpu_not *not1; + + sc_signal sig_not1and2, sig_or1and2; + sc_signal sig_and1not1, sig_and1not1_1; + + void do_half_adder() + { + /* + if (in_sel.read() == 0) + { + out_c.write(in_a.read()); + } + if (in_sel.read() == 1) + { + out_c.write(in_b.read()); + } + */ + + } + + SC_CTOR(cpu_half_adder) + { + and1 = new cpu_and2("AND1"); + and2 = new cpu_and2("AND2"); + not1 = new cpu_not("NOT1"); + or1 = new cpu_or("OR1"); + + and1->in_a(in_a); + and1->in_b(in_b); + and1->out_c(sig_and1not1); + and1->out_c(out_carry); + + and2->in_a(sig_not1and2); + and2->in_b(sig_or1and2); + and2->out_c(out_sum); + + not1->in_a(sig_and1not1); + not1->out_b(sig_not1and2); + + or1->in_a(in_a); + or1->in_b(in_b); + or1->out_c(sig_or1and2); + + //SC_METHOD(do_dmux); + //sensitive << in_a << in_b << in_sel; + } + +}; + +#endif \ No newline at end of file -- cgit v1.2.3