`timescale 1ns/1ps module test_alu_control; reg [1:0]alu_op; reg [3:0]opcode; reg [2:0]alu_cnt; alu_control uut( .alu_op(alu_op), .opcode(opcode), .alu_cnt(alu_cnt) ); initial begin $display("test alu control"); $dumpfile("test_alu_control.vcd"); $dumpvars(0,test_alu_control); #1 alu_op = 0; opcode = 0; #10 opcode = 1; #10 opcode = 2; #10 opcode = 3; #10 opcode = 4; #10 opcode = 5; #10 opcode = 6; #10 opcode = 7; #10 alu_op = 1; opcode = 0; #10 alu_op = 2; opcode = 1; #10 alu_op = 3; #10 alu_op = 3; end initial begin $monitor("At time %t",$time); end endmodule