`timescale 1ns/1ps module test_instr_memory; reg [15:0]pc; reg [15:0]instruction; integer i; instr_memory uut( .pc(pc), .instruction(instruction) ); initial begin $display("Start testing instruction memory"); $dumpfile("test_instr_memory.vcd"); $dumpvars(0,test_instr_memory); for (i=0;i<=30;i=i+2) begin pc = i; #10; end end initial begin $monitor("At time=%t",$time); end endmodule