summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_dmux/cpu_dmux.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'cpu8/cpu_dmux/cpu_dmux.cpp')
-rw-r--r--cpu8/cpu_dmux/cpu_dmux.cpp73
1 files changed, 73 insertions, 0 deletions
diff --git a/cpu8/cpu_dmux/cpu_dmux.cpp b/cpu8/cpu_dmux/cpu_dmux.cpp
new file mode 100644
index 0000000..8f10e28
--- /dev/null
+++ b/cpu8/cpu_dmux/cpu_dmux.cpp
@@ -0,0 +1,73 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_dmux.hpp"
+
+SC_MODULE(test_cpu_dmux)
+{
+ sc_out<bool> a,sel;
+ sc_in<bool> clk;
+
+ void test_cpu_dmux_stim()
+ {
+ wait();
+ a.write(0);
+ sel.write(0);
+
+ wait();
+ a.write(1);
+ sel.write(0);
+
+ wait();
+ a.write(0);
+ sel.write(1);
+
+ wait();
+ a.write(1);
+ sel.write(1);
+
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_dmux)
+ {
+ SC_THREAD(test_cpu_dmux_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_in_a, sig_out_b, sig_sel, sig_out_c;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_dmux Stim1("Stimulus");
+ Stim1.a(sig_in_a);
+ Stim1.sel(sig_sel);
+ Stim1.clk(TestClk);
+
+ cpu_dmux DUT("mux");
+ DUT.in_a(sig_in_a);
+ DUT.in_sel(sig_sel);
+ DUT.out_b(sig_out_b);
+ DUT.out_c(sig_out_c);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_dmux.dat");
+ sc_trace(Tf, sig_in_a, "IN_A");
+ sc_trace(Tf, sig_sel, "IN_SEL");
+ sc_trace(Tf, sig_out_b, "OUT_B");
+ sc_trace(Tf, sig_out_c, "OUT_C");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file