summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_half_adder/cpu_half_adder.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'cpu8/cpu_half_adder/cpu_half_adder.cpp')
-rw-r--r--cpu8/cpu_half_adder/cpu_half_adder.cpp73
1 files changed, 73 insertions, 0 deletions
diff --git a/cpu8/cpu_half_adder/cpu_half_adder.cpp b/cpu8/cpu_half_adder/cpu_half_adder.cpp
new file mode 100644
index 0000000..1eb0c49
--- /dev/null
+++ b/cpu8/cpu_half_adder/cpu_half_adder.cpp
@@ -0,0 +1,73 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_half_adder.hpp"
+
+SC_MODULE(test_cpu_half_adder)
+{
+ sc_out<bool> a,b;
+ sc_in<bool> clk;
+
+ void test_cpu_half_adder_stim()
+ {
+ wait();
+ a.write(0);
+ b.write(0);
+
+ wait();
+ a.write(0);
+ b.write(1);
+
+ wait();
+ a.write(1);
+ b.write(0);
+
+ wait();
+ a.write(1);
+ b.write(1);
+
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_half_adder)
+ {
+ SC_THREAD(test_cpu_half_adder_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_in_a, sig_in_b, sig_out_sum, sig_out_carry;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_half_adder Stim1("Stimulus");
+ Stim1.a(sig_in_a);
+ Stim1.b(sig_in_b);
+ Stim1.clk(TestClk);
+
+ cpu_half_adder DUT("cpu_half_adder");
+ DUT.in_a(sig_in_a);
+ DUT.in_b(sig_in_b);
+ DUT.out_sum(sig_out_sum);
+ DUT.out_carry(sig_out_carry);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_half_adder.dat");
+ sc_trace(Tf, sig_in_a, "IN_A");
+ sc_trace(Tf, sig_in_b, "IN_B");
+ sc_trace(Tf, sig_out_sum, "OUT_SUM");
+ sc_trace(Tf, sig_out_carry, "OUT_CARRY");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file