summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_mux/cpu_mux.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'cpu8/cpu_mux/cpu_mux.cpp')
-rw-r--r--cpu8/cpu_mux/cpu_mux.cpp89
1 files changed, 89 insertions, 0 deletions
diff --git a/cpu8/cpu_mux/cpu_mux.cpp b/cpu8/cpu_mux/cpu_mux.cpp
new file mode 100644
index 0000000..4da31c2
--- /dev/null
+++ b/cpu8/cpu_mux/cpu_mux.cpp
@@ -0,0 +1,89 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_mux.hpp"
+
+SC_MODULE(test_cpu_mux)
+{
+ sc_out<bool> a,b,sel;
+ sc_in<bool> clk;
+
+ void test_cpu_mux_stim()
+ {
+ wait();
+ a.write(0);
+ b.write(0);
+ sel.write(0);
+
+ wait();
+ a.write(1);
+ b.write(0);
+ sel.write(0);
+
+ wait();
+ a.write(0);
+ b.write(0);
+ sel.write(1);
+
+ wait();
+ a.write(0);
+ b.write(1);
+ sel.write(1);
+ wait();
+ a.write(1);
+ b.write(1);
+ sel.write(1);
+ wait();
+ a.write(0);
+ b.write(0);
+ sel.write(1);
+ wait();
+ a.write(1);
+ b.write(1);
+ sel.write(0);
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_mux)
+ {
+ SC_THREAD(test_cpu_mux_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_a, sig_b, sig_sel, sig_out, sig_and1or1;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_mux Stim1("Stimulus");
+ Stim1.a(sig_a);
+ Stim1.b(sig_b);
+ Stim1.sel(sig_sel);
+ Stim1.clk(TestClk);
+
+ cpu_mux DUT("mux");
+ DUT.in_a(sig_a);
+ DUT.in_b(sig_b);
+ DUT.in_sel(sig_sel);
+ DUT.out_c(sig_out);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_mux.dat");
+ sc_trace(Tf, sig_a, "IN_A");
+ sc_trace(Tf, sig_b, "IN_B");
+ sc_trace(Tf, sig_sel, "IN_SEL");
+ sc_trace(Tf, sig_out, "SIG_OUT");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file