summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_reg/cpu_reg.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'cpu8/cpu_reg/cpu_reg.cpp')
-rw-r--r--cpu8/cpu_reg/cpu_reg.cpp96
1 files changed, 96 insertions, 0 deletions
diff --git a/cpu8/cpu_reg/cpu_reg.cpp b/cpu8/cpu_reg/cpu_reg.cpp
new file mode 100644
index 0000000..17f4a72
--- /dev/null
+++ b/cpu8/cpu_reg/cpu_reg.cpp
@@ -0,0 +1,96 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_reg.hpp"
+
+SC_MODULE(test_cpu_reg)
+{
+ sc_out<bool> d,e;
+ sc_in<bool> clk;
+
+ void test_cpu_reg_stim()
+ {
+ wait();
+ d.write(0);
+ e.write(0);
+
+ wait();
+ d.write(1);
+ e.write(0);
+
+ wait();
+ d.write(0);
+ e.write(1);
+
+ wait();
+ d.write(1);
+ e.write(1);
+
+ wait();
+ d.write(0);
+ e.write(0);
+
+ wait();
+ d.write(1);
+ e.write(0);
+
+ wait();
+ d.write(0);
+ e.write(1);
+
+ wait();
+ d.write(0);
+ e.write(0);
+
+
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_reg)
+ {
+ SC_THREAD(test_cpu_reg_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+
+int sc_main(int argc, char **argv) {
+ int i;
+ sc_signal<bool> sig_in_d,sig_in_e, sig_out_q, sig_out_nq;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_reg Stim1("Stimulus");
+ Stim1.e(sig_in_e);
+ Stim1.d(sig_in_d);
+ Stim1.clk(TestClk);
+
+
+
+ cpu_reg DUT("cpu_reg");
+ DUT.in_d(sig_in_d);
+ DUT.in_e(sig_in_e);
+ DUT.out_q(sig_out_q);
+ DUT.out_nq(sig_out_nq);
+
+
+ sc_trace_file *Tf;
+
+ Tf = sc_create_vcd_trace_file("trace_cpu_reg.dat");
+ sc_trace(Tf, sig_in_d, "D");
+ sc_trace(Tf, sig_in_e, "E");
+ sc_trace(Tf, sig_out_q, "Q");
+ sc_trace(Tf, sig_out_nq, "NQ");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file