summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_code_reg/cpu_code_reg.cpp
blob: d312cd73f1b8f4728117084c658f70d8b4169a1d (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
#include <iostream>
#include <iomanip>

#include "systemc.h"
#include "systemc"
#include <sysc/tracing/sc_trace.h>
#include <sysc/tracing/sc_vcd_trace.h>

#include "cpu_code_reg.hpp"

SC_MODULE(test_cpu_code_reg)
{
	sc_out<bool> d,e;
	sc_in<bool> clk;

	void test_cpu_reg_stim()
	{
		wait();
		d.write(0);
		e.write(0);

		wait();
		d.write(1);
		e.write(0);

		wait();
		d.write(0);
		e.write(1);

		wait();
		d.write(1);
		e.write(1);

		wait();
		d.write(0);
		e.write(0);

		wait();
		d.write(1);
		e.write(0);

		wait();

		sc_stop();
	}

	SC_CTOR(test_cpu_code_reg)
	{
		SC_THREAD(test_cpu_reg_stim);
		sensitive << clk.pos();

	}
};


int sc_main(int argc, char **argv) {
	int i;
	sc_signal<bool> sig_in_d,sig_in_e, sig_out_q;
	sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);

	test_cpu_code_reg Stim1("Stimulus");
	Stim1.e(sig_in_e);
	Stim1.d(sig_in_d);
	Stim1.clk(TestClk);

	cpu_code_reg DUT("cpu_code_eg");
	DUT.in_d(sig_in_d);
	DUT.in_e(sig_in_e);
	DUT.out_q(sig_out_q);

	sc_trace_file *Tf;
	
	Tf = sc_create_vcd_trace_file("trace_cpu_code_reg.dat");
	sc_trace(Tf, sig_in_d, "D");
	sc_trace(Tf, sig_in_e, "E");
	sc_trace(Tf, sig_out_q, "Q");

	sc_start();
	sc_close_vcd_trace_file(Tf);

	return(0);
}