summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_full_adder/cpu_full_adder.cpp
blob: 87815d8906e2e7ab0c8c871727b944794ece4a46 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
#include <iostream>
#include <iomanip>

#include "systemc.h"
#include "systemc"
#include <sysc/tracing/sc_trace.h>
#include <sysc/tracing/sc_vcd_trace.h>

#include "cpu_full_adder.hpp"

SC_MODULE(test_cpu_full_adder)
{
	sc_out<bool> a,b,c;
	sc_in<bool> clk;

	void test_cpu_full_adder_stim()
	{
		wait();
		a.write(0);
		b.write(0);
		c.write(0);

		wait();
		a.write(0);
		b.write(1);
		c.write(0);

		wait();
		a.write(1);
		b.write(0);
		c.write(0);

		wait();
		a.write(1);
		b.write(1);
		c.write(0);

		wait();
		a.write(0);
		b.write(0);
		c.write(1);

		wait();
		a.write(0);
		b.write(1);
		c.write(1);

		wait();
		a.write(1);
		b.write(0);
		c.write(1);

		wait();
		a.write(1);
		b.write(1);
		c.write(1);

		wait();

		sc_stop();
	}

	SC_CTOR(test_cpu_full_adder)
	{
		SC_THREAD(test_cpu_full_adder_stim);
		sensitive << clk.pos();

	}
};

int sc_main(int argc, char **argv) {
	sc_signal<bool> sig_in_a, sig_in_b, sig_in_c, sig_out_sum, sig_out_carry;
	sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);

	test_cpu_full_adder Stim1("Stimulus");
	Stim1.a(sig_in_a);
	Stim1.b(sig_in_b);
	Stim1.c(sig_in_c);
	Stim1.clk(TestClk);

	cpu_full_adder DUT("cpu_full_adder");
	DUT.in_a(sig_in_a);
	DUT.in_b(sig_in_b);
	DUT.in_c(sig_in_c);
	DUT.out_sum(sig_out_sum);
	DUT.out_carry(sig_out_carry);

	sc_trace_file *Tf;
	Tf = sc_create_vcd_trace_file("trace_cpu_full_adder.dat");
	sc_trace(Tf, sig_in_a, "IN_A");
	sc_trace(Tf, sig_in_b, "IN_B");
	sc_trace(Tf, sig_in_c, "IN_C");
	sc_trace(Tf, sig_out_sum, "OUT_SUM");
	sc_trace(Tf, sig_out_carry, "OUT_CARRY");

	sc_start();
	sc_close_vcd_trace_file(Tf);

	return(0);
}