summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_full_adder/cpu_full_adder.hpp
blob: 09fc290981cd507d2923051c36fc5b2c7f0ce5b6 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
#ifndef __SYSC_CPU_FULL_ADDER_HPP
#define __SYSC_CPU_FULL_ADDER_HPP

#include "systemc.h"
#include "../cpu_and/cpu_and.hpp"
#include "../cpu_or/cpu_or.hpp"
#include "../cpu_not/cpu_not.hpp"
#include "../cpu_half_adder/cpu_half_adder.hpp"

/*
SC_MODULE (cpu_and2) 
{
	sc_in <bool> in_a;
	sc_in <bool> in_b;
	sc_port<sc_signal_out_if<bool>,2> out_c;

	void do_and2()
	{
		//out_c[0]->write( in_a.read() && in_b.read() );
		for (int i=0; i<out_c.size();i++)
		{
			out_c[i]->write(in_a.read() && in_b.read());
		}
	}

	SC_CTOR(cpu_and2)
	{
		SC_METHOD(do_and2);
		sensitive << in_a << in_b;
	}

};
*/

SC_MODULE (cpu_full_adder) 
{
	//Inputs
	sc_in <bool> in_a;
	sc_in <bool> in_b;
	sc_in <bool> in_c;
	sc_out <bool> out_sum;
	sc_out <bool> out_carry;

	cpu_half_adder *halfadd1,*halfadd2;
	cpu_or *or1;

	sc_signal<bool> sig_half1half2, sig_half1or1, sig_half2or1;

	void do_full_adder()
	{
		/*
		if (in_sel.read() == 0)
		{
			out_c.write(in_a.read());
		}
		if (in_sel.read() == 1)
		{
			out_c.write(in_b.read());
		}
		*/

	}

	SC_CTOR(cpu_full_adder)
	{
		halfadd1 = new cpu_half_adder("HALFADD1");
		halfadd2 = new cpu_half_adder("HALFADD2");
		or1 = new cpu_or("OR1");
		
		halfadd1->in_a(in_a);
		halfadd1->in_b(in_b);
		halfadd1->out_sum(sig_half1half2);
		halfadd1->out_carry(sig_half1or1);

		halfadd2->in_a(sig_half1half2);
		halfadd2->in_b(in_c);
		halfadd2->out_sum(out_sum);
		halfadd2->out_carry(sig_half2or1);

		or1->in_a(sig_half1or1);
		or1->in_b(sig_half2or1);
		or1->out_c(out_carry);

		//SC_METHOD(do_dmux);
		//sensitive << in_a << in_b << in_sel;
	}

};

#endif