summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_gatedlatch/cpu_gatedlatch.cpp
blob: b489e5bde3cd42acc6fccde87ec1d86195a33285 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
#include <iostream>
#include <iomanip>

#include "systemc.h"
#include "systemc"
#include <sysc/tracing/sc_trace.h>
#include <sysc/tracing/sc_vcd_trace.h>

#include "cpu_gatedlatch.hpp"

SC_MODULE(test_cpu_gatedlatch)
{
	sc_out<bool> d,e;
	sc_in<bool> clk;

	void test_cpu_gatedlatch_stim()
	{
		wait();
		d.write(0);
		e.write(0);

		wait();
		d.write(1);
		e.write(0);

		wait();
		d.write(0);
		e.write(1);

		wait();
		d.write(1);
		e.write(1);

		wait();
		d.write(0);
		e.write(0);

		wait();
		d.write(1);
		e.write(0);

		wait();
		d.write(0);
		e.write(1);

		wait();
		d.write(0);
		e.write(0);


		wait();

		sc_stop();
	}

	SC_CTOR(test_cpu_gatedlatch)
	{
		SC_THREAD(test_cpu_gatedlatch_stim);
		sensitive << clk.pos();

	}
};


int sc_main(int argc, char **argv) {
	int i;
	sc_signal<bool> sig_in_d,sig_in_e, sig_out_q, sig_out_nq;
	sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);

	test_cpu_gatedlatch Stim1("Stimulus");
	Stim1.e(sig_in_e);
	Stim1.d(sig_in_d);
	Stim1.clk(TestClk);


	
	cpu_gatedlatch DUT("cpu_gatedlatch");
	DUT.in_d(sig_in_d);
	DUT.in_e(sig_in_e);
	DUT.out_q(sig_out_q);
	DUT.out_nq(sig_out_nq);

	
	sc_trace_file *Tf;
	
	Tf = sc_create_vcd_trace_file("trace_cpu_gatedlatch.dat");
	sc_trace(Tf, sig_in_d, "D");
	sc_trace(Tf, sig_in_e, "E");
	sc_trace(Tf, sig_out_q, "Q");
	sc_trace(Tf, sig_out_nq, "NQ");

	sc_start();
	sc_close_vcd_trace_file(Tf);

	return(0);
}