summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_srlatch/cpu_srlatch.cpp
blob: 07efe5e5a6680c34fdd854b3de81b8f863b25056 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
#include <iostream>
#include <iomanip>

#include "systemc.h"
#include "systemc"
#include <sysc/tracing/sc_trace.h>
#include <sysc/tracing/sc_vcd_trace.h>

#include "cpu_srlatch.hpp"

SC_MODULE(test_cpu_srlatch)
{
	sc_out<bool> s,r;
	sc_in<bool> clk;
	

	void test_cpu_srlatch_stim()
	{
		wait();
		s.write(0);
		r.write(1);

		wait();
		s.write(1);
		r.write(0);

		wait();
		s.write(1);
		r.write(1);

		wait();
		s.write(1);
		r.write(0);

		wait();
		s.write(0);
		r.write(0);


		wait();
		s.write(0);
		r.write(0);

		wait();
		s.write(0);
		r.write(1);

		wait();
		s.write(0);
		r.write(0);

		wait();
		s.write(0);
		r.write(0);


		wait();

		sc_stop();
	}

	SC_CTOR(test_cpu_srlatch)
	{
		SC_THREAD(test_cpu_srlatch_stim);
		sensitive << clk.pos();

	}
};


int sc_main(int argc, char **argv) {
	sc_signal<bool> sig_in_r, sig_in_s, sig_out_q, sig_out_nq;
	sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);

	test_cpu_srlatch Stim1("Stimulus");
	Stim1.r(sig_in_r);
	Stim1.s(sig_in_s);
	Stim1.clk(TestClk);

	cpu_srlatch DUT("cpu_srlatch");
	DUT.in_r(sig_in_r);
	DUT.in_s(sig_in_s);
	DUT.out_q(sig_out_q);
	DUT.out_nq(sig_out_nq);

	sc_trace_file *Tf;
	
	Tf = sc_create_vcd_trace_file("trace_cpu_srlatch.dat");
	sc_trace(Tf, sig_in_r, "IN_R");
	sc_trace(Tf, sig_in_s, "IN_S");
	sc_trace(Tf, sig_out_q, "OUT_Q");
	sc_trace(Tf, sig_out_nq, "OUT_NQ");

	sc_start();
	sc_close_vcd_trace_file(Tf);

	return(0);
}