aboutsummaryrefslogtreecommitdiffstats
path: root/src/hackvr_xdiewii.c
diff options
context:
space:
mode:
Diffstat (limited to 'src/hackvr_xdiewii.c')
l---------src/hackvr_xdiewii.c1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/hackvr_xdiewii.c b/src/hackvr_xdiewii.c
new file mode 120000
index 0000000..e4c9ddd
--- /dev/null
+++ b/src/hackvr_xdiewii.c
@@ -0,0 +1 @@
+hackvr.c \ No newline at end of file