summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_not/cpu_not.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'cpu8/cpu_not/cpu_not.cpp')
-rw-r--r--cpu8/cpu_not/cpu_not.cpp63
1 files changed, 63 insertions, 0 deletions
diff --git a/cpu8/cpu_not/cpu_not.cpp b/cpu8/cpu_not/cpu_not.cpp
new file mode 100644
index 0000000..3d424a4
--- /dev/null
+++ b/cpu8/cpu_not/cpu_not.cpp
@@ -0,0 +1,63 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_not.hpp"
+
+SC_MODULE(test_cpu_not)
+{
+ sc_out<bool> a;
+ sc_in<bool> clk;
+
+ void test_cpu_not_stim()
+ {
+ wait();
+ a.write(1);
+ wait();
+
+ a.write(0);
+ wait();
+
+ a.write(1);
+ wait();
+
+ a.write(0);
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_not)
+ {
+ SC_THREAD(test_cpu_not_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_a, sig_b;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_not Stim1("Stimulus");
+ Stim1.a(sig_a);
+ Stim1.clk(TestClk);
+
+ cpu_not DUT("not");
+ DUT.in_a(sig_a);
+ DUT.out_b(sig_b);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_not.dat");
+ sc_trace(Tf, sig_a, "IN_A");
+ sc_trace(Tf, sig_b, "OUT_B");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file