summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_not/cpu_not.cpp
blob: 3d424a41313b0b80093bc6876993d5f49cc68d82 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
#include <iostream>
#include <iomanip>

#include "systemc.h"
#include "systemc"
#include <sysc/tracing/sc_trace.h>
#include <sysc/tracing/sc_vcd_trace.h>

#include "cpu_not.hpp"

SC_MODULE(test_cpu_not)
{
	sc_out<bool> a;
	sc_in<bool> clk;

	void test_cpu_not_stim()
	{
		wait();
		a.write(1);
		wait();

		a.write(0);
		wait();

		a.write(1);
		wait();

		a.write(0);
		wait();

		sc_stop();
	}

	SC_CTOR(test_cpu_not)
	{
		SC_THREAD(test_cpu_not_stim);
		sensitive << clk.pos();

	}
};

int sc_main(int argc, char **argv) {
	sc_signal<bool> sig_a, sig_b;
	sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);

	test_cpu_not Stim1("Stimulus");
	Stim1.a(sig_a);
	Stim1.clk(TestClk);

	cpu_not DUT("not");
	DUT.in_a(sig_a);
	DUT.out_b(sig_b);

	sc_trace_file *Tf;
	Tf = sc_create_vcd_trace_file("trace_cpu_not.dat");
	sc_trace(Tf, sig_a, "IN_A");
	sc_trace(Tf, sig_b, "OUT_B");

	sc_start();
	sc_close_vcd_trace_file(Tf);

	return(0);
}