summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_xor/cpu_xor.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'cpu8/cpu_xor/cpu_xor.cpp')
-rw-r--r--cpu8/cpu_xor/cpu_xor.cpp70
1 files changed, 70 insertions, 0 deletions
diff --git a/cpu8/cpu_xor/cpu_xor.cpp b/cpu8/cpu_xor/cpu_xor.cpp
new file mode 100644
index 0000000..ef219f6
--- /dev/null
+++ b/cpu8/cpu_xor/cpu_xor.cpp
@@ -0,0 +1,70 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_xor.hpp"
+
+SC_MODULE(test_cpu_xor)
+{
+ sc_out<bool> a,b;
+ sc_in<bool> clk;
+
+ void test_cpu_xor_stim()
+ {
+ wait();
+ a.write(1);
+ b.write(1);
+ wait();
+
+ a.write(0);
+ b.write(0);
+ wait();
+
+ a.write(1);
+ b.write(0);
+ wait();
+
+ a.write(0);
+ b.write(1);
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_xor)
+ {
+ SC_THREAD(test_cpu_xor_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_a, sig_b, sig_c;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_xor Stim1("Stimulus");
+ Stim1.a(sig_a);
+ Stim1.b(sig_b);
+ Stim1.clk(TestClk);
+
+ cpu_xor DUT("xor");
+ DUT.in_a(sig_a);
+ DUT.in_b(sig_b);
+ DUT.out_c(sig_c);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_xor.dat");
+ sc_trace(Tf, sig_a, "IN_A");
+ sc_trace(Tf, sig_b, "IN_B");
+ sc_trace(Tf, sig_c, "SIG_C");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file