summaryrefslogtreecommitdiffstats
path: root/cpu8/cpu_xor/cpu_xor.cpp
blob: ef219f6ed6ec41e8b3083d9b06a79a37b531edf4 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
#include <iostream>
#include <iomanip>

#include "systemc.h"
#include "systemc"
#include <sysc/tracing/sc_trace.h>
#include <sysc/tracing/sc_vcd_trace.h>

#include "cpu_xor.hpp"

SC_MODULE(test_cpu_xor)
{
	sc_out<bool> a,b;
	sc_in<bool> clk;

	void test_cpu_xor_stim()
	{
		wait();
		a.write(1);
		b.write(1);
		wait();

		a.write(0);
		b.write(0);
		wait();

		a.write(1);
		b.write(0);
		wait();

		a.write(0);
		b.write(1);
		wait();

		sc_stop();
	}

	SC_CTOR(test_cpu_xor)
	{
		SC_THREAD(test_cpu_xor_stim);
		sensitive << clk.pos();

	}
};

int sc_main(int argc, char **argv) {
	sc_signal<bool> sig_a, sig_b, sig_c;
	sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);

	test_cpu_xor Stim1("Stimulus");
	Stim1.a(sig_a);
	Stim1.b(sig_b);
	Stim1.clk(TestClk);

	cpu_xor DUT("xor");
	DUT.in_a(sig_a);
	DUT.in_b(sig_b);
	DUT.out_c(sig_c);

	sc_trace_file *Tf;
	Tf = sc_create_vcd_trace_file("trace_cpu_xor.dat");
	sc_trace(Tf, sig_a, "IN_A");
	sc_trace(Tf, sig_b, "IN_B");
	sc_trace(Tf, sig_c, "SIG_C");

	sc_start();
	sc_close_vcd_trace_file(Tf);

	return(0);
}