summaryrefslogtreecommitdiff
path: root/risc_16bit_cpu/v0.1/test_risc_16bit_cpu.v
diff options
context:
space:
mode:
Diffstat (limited to 'risc_16bit_cpu/v0.1/test_risc_16bit_cpu.v')
-rw-r--r--risc_16bit_cpu/v0.1/test_risc_16bit_cpu.v28
1 files changed, 28 insertions, 0 deletions
diff --git a/risc_16bit_cpu/v0.1/test_risc_16bit_cpu.v b/risc_16bit_cpu/v0.1/test_risc_16bit_cpu.v
new file mode 100644
index 0000000..1853474
--- /dev/null
+++ b/risc_16bit_cpu/v0.1/test_risc_16bit_cpu.v
@@ -0,0 +1,28 @@
+`include "parameters.h"
+
+module test_risc_16bit_cpu;
+
+// Inputs
+reg clk;
+
+// Instantiate the Unit Under Test (UUT)
+risc_16bit_cpu uut (
+ .clk(clk)
+);
+
+initial begin
+ $display("Start testing risc 16bit cpu");
+ $dumpfile("test_risc_16bit_cpu.vcd");
+ $dumpvars(0,test_risc_16bit_cpu);
+
+
+ clk <=0;
+ `simulation_time;
+ $finish;
+end
+
+always begin
+ #5 clk = ~clk;
+end
+
+endmodule \ No newline at end of file