summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorFreeArtMan <dos21h@gmail.com>2018-10-15 21:22:29 +0100
committerFreeArtMan <dos21h@gmail.com>2018-10-15 21:22:29 +0100
commit0b7dea3a6db1faf4abee5b3e724aeb03a15843f3 (patch)
tree736c2844a8b9e841e06b7ece1b74942f5ec31cc4
parent51c648ebba9db30856dbf0e646fe275720b59c42 (diff)
downloadcpu8-0b7dea3a6db1faf4abee5b3e724aeb03a15843f3.tar.gz
cpu8-0b7dea3a6db1faf4abee5b3e724aeb03a15843f3.zip
Add CPU OR
-rw-r--r--cpu8/cpu_or/Makefile9
-rw-r--r--cpu8/cpu_or/cpu_or.cpp70
-rw-r--r--cpu8/cpu_or/cpu_or.hpp27
-rw-r--r--cpu8/cpu_or/notes.txt0
4 files changed, 106 insertions, 0 deletions
diff --git a/cpu8/cpu_or/Makefile b/cpu8/cpu_or/Makefile
new file mode 100644
index 0000000..867b076
--- /dev/null
+++ b/cpu8/cpu_or/Makefile
@@ -0,0 +1,9 @@
+SYSTEMC_PATH=/home/fam/downloads/source/systemc/systemc-2.3.2
+SYSTEMC_INC=$(SYSTEMC_PATH)/src
+SYSTEMC_LIB=$(SYSTEMC_PATH)/src/.libs
+
+PROJECT=cpu_or
+
+make:
+ g++ $(PROJECT).cpp -I$(SYSTEMC_INC) -L$(SYSTEMC_LIB) -Wl,-rpath=$(SYSTEMC_LIB)\
+ -o $(PROJECT) -lsystemc -lm \ No newline at end of file
diff --git a/cpu8/cpu_or/cpu_or.cpp b/cpu8/cpu_or/cpu_or.cpp
new file mode 100644
index 0000000..e533750
--- /dev/null
+++ b/cpu8/cpu_or/cpu_or.cpp
@@ -0,0 +1,70 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_or.hpp"
+
+SC_MODULE(test_cpu_or)
+{
+ sc_out<bool> a,b;
+ sc_in<bool> clk;
+
+ void test_cpu_or_stim()
+ {
+ wait();
+ a.write(1);
+ b.write(1);
+ wait();
+
+ a.write(0);
+ b.write(0);
+ wait();
+
+ a.write(1);
+ b.write(0);
+ wait();
+
+ a.write(0);
+ b.write(1);
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_or)
+ {
+ SC_THREAD(test_cpu_or_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_a, sig_b, sig_c;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_or Stim1("Stimulus");
+ Stim1.a(sig_a);
+ Stim1.b(sig_b);
+ Stim1.clk(TestClk);
+
+ cpu_or DUT("or");
+ DUT.in_a(sig_a);
+ DUT.in_b(sig_b);
+ DUT.out_c(sig_c);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_or.dat");
+ sc_trace(Tf, sig_a, "IN_A");
+ sc_trace(Tf, sig_b, "IN_B");
+ sc_trace(Tf, sig_c, "OUT_C");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file
diff --git a/cpu8/cpu_or/cpu_or.hpp b/cpu8/cpu_or/cpu_or.hpp
new file mode 100644
index 0000000..75c637d
--- /dev/null
+++ b/cpu8/cpu_or/cpu_or.hpp
@@ -0,0 +1,27 @@
+#ifndef __SYSC_CPU_OR_HPP
+#define __SYSC_CPU_OR_HPP
+
+#include "systemc.h"
+
+SC_MODULE (cpu_or)
+{
+ sc_in <bool> in_a;
+ sc_in <bool> in_b;
+ sc_out <bool> out_c;
+
+ void do_or()
+ {
+ out_c.write( (in_a.read() || in_b.read()) );
+ }
+
+ SC_CTOR(cpu_or)
+ {
+ SC_METHOD(do_or);
+ sensitive << in_a << in_b;
+ }
+
+
+
+};
+
+#endif \ No newline at end of file
diff --git a/cpu8/cpu_or/notes.txt b/cpu8/cpu_or/notes.txt
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/cpu8/cpu_or/notes.txt