summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorFreeArtMan <dos21h@gmail.com>2018-10-15 21:22:11 +0100
committerFreeArtMan <dos21h@gmail.com>2018-10-15 21:22:11 +0100
commit51c648ebba9db30856dbf0e646fe275720b59c42 (patch)
tree2c4e737b10934384072fbcdbfca66a320c70140d
parent835baba906728e7bfc8023a67fa1e42f6af03ba0 (diff)
downloadcpu8-51c648ebba9db30856dbf0e646fe275720b59c42.tar.gz
cpu8-51c648ebba9db30856dbf0e646fe275720b59c42.zip
Add CPU NOT
-rw-r--r--cpu8/cpu_not/Makefile9
-rw-r--r--cpu8/cpu_not/cpu_not.cpp63
-rw-r--r--cpu8/cpu_not/cpu_not.hpp24
-rw-r--r--cpu8/cpu_not/notes.txt0
4 files changed, 96 insertions, 0 deletions
diff --git a/cpu8/cpu_not/Makefile b/cpu8/cpu_not/Makefile
new file mode 100644
index 0000000..2b56687
--- /dev/null
+++ b/cpu8/cpu_not/Makefile
@@ -0,0 +1,9 @@
+SYSTEMC_PATH=/home/fam/downloads/source/systemc/systemc-2.3.2
+SYSTEMC_INC=$(SYSTEMC_PATH)/src
+SYSTEMC_LIB=$(SYSTEMC_PATH)/src/.libs
+
+PROJECT=cpu_not
+
+make:
+ g++ $(PROJECT).cpp -I$(SYSTEMC_INC) -L$(SYSTEMC_LIB) -Wl,-rpath=$(SYSTEMC_LIB)\
+ -o $(PROJECT) -lsystemc -lm \ No newline at end of file
diff --git a/cpu8/cpu_not/cpu_not.cpp b/cpu8/cpu_not/cpu_not.cpp
new file mode 100644
index 0000000..3d424a4
--- /dev/null
+++ b/cpu8/cpu_not/cpu_not.cpp
@@ -0,0 +1,63 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_not.hpp"
+
+SC_MODULE(test_cpu_not)
+{
+ sc_out<bool> a;
+ sc_in<bool> clk;
+
+ void test_cpu_not_stim()
+ {
+ wait();
+ a.write(1);
+ wait();
+
+ a.write(0);
+ wait();
+
+ a.write(1);
+ wait();
+
+ a.write(0);
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_not)
+ {
+ SC_THREAD(test_cpu_not_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_a, sig_b;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_not Stim1("Stimulus");
+ Stim1.a(sig_a);
+ Stim1.clk(TestClk);
+
+ cpu_not DUT("not");
+ DUT.in_a(sig_a);
+ DUT.out_b(sig_b);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_not.dat");
+ sc_trace(Tf, sig_a, "IN_A");
+ sc_trace(Tf, sig_b, "OUT_B");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file
diff --git a/cpu8/cpu_not/cpu_not.hpp b/cpu8/cpu_not/cpu_not.hpp
new file mode 100644
index 0000000..a9c6d67
--- /dev/null
+++ b/cpu8/cpu_not/cpu_not.hpp
@@ -0,0 +1,24 @@
+#ifndef __SYSC_CPU_NAND_HPP
+#define __SYSC_CPU_NAND_HPP
+
+#include "systemc.h"
+
+SC_MODULE (cpu_not)
+{
+ sc_in <bool> in_a;
+ sc_out <bool> out_b;
+
+ void do_not()
+ {
+ out_b.write( !in_a.read() );
+ }
+
+ SC_CTOR(cpu_not)
+ {
+ SC_METHOD(do_not);
+ sensitive << in_a;
+ }
+
+};
+
+#endif \ No newline at end of file
diff --git a/cpu8/cpu_not/notes.txt b/cpu8/cpu_not/notes.txt
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/cpu8/cpu_not/notes.txt