summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorFreeArtMan <dos21h@gmail.com>2018-11-03 01:31:16 +0000
committerFreeArtMan <dos21h@gmail.com>2018-11-03 01:31:16 +0000
commit10443a1398f3d4d0842e693eabbfaa82beab5d21 (patch)
tree3b7ce1ba88c6b89e866433f313a0062142cc2825
parent4a111c26d5d1030669975700558799932b2d86bd (diff)
downloadcpu8-10443a1398f3d4d0842e693eabbfaa82beab5d21.tar.gz
cpu8-10443a1398f3d4d0842e693eabbfaa82beab5d21.zip
Add CPU MUX
-rw-r--r--cpu8/cpu_mux/Makefile9
-rw-r--r--cpu8/cpu_mux/cpu_mux.cpp89
-rw-r--r--cpu8/cpu_mux/cpu_mux.hpp75
-rw-r--r--cpu8/cpu_mux/notes.txt0
4 files changed, 173 insertions, 0 deletions
diff --git a/cpu8/cpu_mux/Makefile b/cpu8/cpu_mux/Makefile
new file mode 100644
index 0000000..d3417a5
--- /dev/null
+++ b/cpu8/cpu_mux/Makefile
@@ -0,0 +1,9 @@
+SYSTEMC_PATH=/home/fam/downloads/source/systemc/systemc-2.3.2
+SYSTEMC_INC=$(SYSTEMC_PATH)/src
+SYSTEMC_LIB=$(SYSTEMC_PATH)/src/.libs
+
+PROJECT=cpu_mux
+
+make:
+ g++ $(PROJECT).cpp -I$(SYSTEMC_INC) -L$(SYSTEMC_LIB) -Wl,-rpath=$(SYSTEMC_LIB)\
+ -o $(PROJECT) -lsystemc -lm \ No newline at end of file
diff --git a/cpu8/cpu_mux/cpu_mux.cpp b/cpu8/cpu_mux/cpu_mux.cpp
new file mode 100644
index 0000000..4da31c2
--- /dev/null
+++ b/cpu8/cpu_mux/cpu_mux.cpp
@@ -0,0 +1,89 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_mux.hpp"
+
+SC_MODULE(test_cpu_mux)
+{
+ sc_out<bool> a,b,sel;
+ sc_in<bool> clk;
+
+ void test_cpu_mux_stim()
+ {
+ wait();
+ a.write(0);
+ b.write(0);
+ sel.write(0);
+
+ wait();
+ a.write(1);
+ b.write(0);
+ sel.write(0);
+
+ wait();
+ a.write(0);
+ b.write(0);
+ sel.write(1);
+
+ wait();
+ a.write(0);
+ b.write(1);
+ sel.write(1);
+ wait();
+ a.write(1);
+ b.write(1);
+ sel.write(1);
+ wait();
+ a.write(0);
+ b.write(0);
+ sel.write(1);
+ wait();
+ a.write(1);
+ b.write(1);
+ sel.write(0);
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_mux)
+ {
+ SC_THREAD(test_cpu_mux_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_a, sig_b, sig_sel, sig_out, sig_and1or1;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_mux Stim1("Stimulus");
+ Stim1.a(sig_a);
+ Stim1.b(sig_b);
+ Stim1.sel(sig_sel);
+ Stim1.clk(TestClk);
+
+ cpu_mux DUT("mux");
+ DUT.in_a(sig_a);
+ DUT.in_b(sig_b);
+ DUT.in_sel(sig_sel);
+ DUT.out_c(sig_out);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_mux.dat");
+ sc_trace(Tf, sig_a, "IN_A");
+ sc_trace(Tf, sig_b, "IN_B");
+ sc_trace(Tf, sig_sel, "IN_SEL");
+ sc_trace(Tf, sig_out, "SIG_OUT");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file
diff --git a/cpu8/cpu_mux/cpu_mux.hpp b/cpu8/cpu_mux/cpu_mux.hpp
new file mode 100644
index 0000000..167a2f8
--- /dev/null
+++ b/cpu8/cpu_mux/cpu_mux.hpp
@@ -0,0 +1,75 @@
+#ifndef __SYSC_CPU_MUX_HPP
+#define __SYSC_CPU_MUX_HPP
+
+#include "systemc.h"
+#include "../cpu_and/cpu_and.hpp"
+#include "../cpu_or/cpu_or.hpp"
+#include "../cpu_not/cpu_not.hpp"
+
+SC_MODULE (cpu_mux)
+{
+ //Inputs
+ sc_in <bool> in_a;
+ sc_in <bool> in_b;
+ sc_in <bool> in_sel;
+ sc_out <bool> out_c;
+
+ cpu_and *and1, *and2;
+ cpu_or *or1;
+ cpu_not *not1;
+
+ sc_signal<bool> sig_and1or1;
+ sc_signal<bool> sig_and2or1;
+ sc_signal<bool> sig_not1and1;
+ sc_signal<bool> sig_out1,conn1;
+
+ void do_mux()
+ {
+
+ if (in_sel.read() == 0)
+ {
+ out_c.write(in_a.read());
+ }
+ if (in_sel.read() == 1)
+ {
+ out_c.write(in_b.read());
+ }
+
+ }
+
+ SC_CTOR(cpu_mux)
+ {
+ and1 = new cpu_and("AND1");
+ and2 = new cpu_and("AND2");
+ or1 = new cpu_or("OR1");
+ not1 = new cpu_not("NOT1");
+
+ and1->in_a(in_a);
+ and1->in_b(sig_not1and1);
+ and1->out_c(sig_and1or1);
+ //and1->out_c(out_c);
+
+
+ not1->in_a(in_sel);
+ not1->out_b(sig_not1and1);
+ //not1->out_b(out_c);
+
+
+ and2->in_a(in_b);
+ and2->in_b(in_sel);
+ and2->out_c(sig_and2or1);
+ //and2->out_c(out_c);
+
+ or1->in_a(sig_and1or1);
+ or1->in_b(sig_and2or1);
+ //or1->in_a(and1->out_c);
+ //or1->in_b(and2->out_c);
+ or1->out_c(out_c);
+
+ //SC_METHOD(do_mux);
+ //sensitive << in_a << in_b << in_sel;
+ }
+
+};
+
+#endif \ No newline at end of file
diff --git a/cpu8/cpu_mux/notes.txt b/cpu8/cpu_mux/notes.txt
new file mode 100644
index 0000000..e69de29
--- /dev/null
+++ b/cpu8/cpu_mux/notes.txt