summaryrefslogtreecommitdiff
path: root/control_unit/v0.1/test_control_unit.v
diff options
context:
space:
mode:
authordianshi <dianshi@main.lv>2022-02-13 21:34:02 +0000
committerdianshi <dianshi@main.lv>2022-02-13 21:34:02 +0000
commit2a54718dc842d1be84d1470827b538bdaab2cb28 (patch)
treeff83df4808af7e741dec5c1d15c61a25b8700275 /control_unit/v0.1/test_control_unit.v
parent2cbdc8a905d6b4fac757ae6a33f75548b16f10e7 (diff)
downloadcpu8_v-2a54718dc842d1be84d1470827b538bdaab2cb28.tar.gz
cpu8_v-2a54718dc842d1be84d1470827b538bdaab2cb28.zip
Add control unit version 0.1
Diffstat (limited to 'control_unit/v0.1/test_control_unit.v')
-rw-r--r--control_unit/v0.1/test_control_unit.v21
1 files changed, 21 insertions, 0 deletions
diff --git a/control_unit/v0.1/test_control_unit.v b/control_unit/v0.1/test_control_unit.v
new file mode 100644
index 0000000..2398da2
--- /dev/null
+++ b/control_unit/v0.1/test_control_unit.v
@@ -0,0 +1,21 @@
+`timescale 1ns/1ps
+
+module test_contorl_unit;
+
+reg [3:0] opcode;
+reg[1:0] alu_op;
+reg jump, bne, beq, mem_read, mem_write, alu_src, reg_dst, mem_to_reg, reg_write;
+
+initial begin
+ $display("Start testing data path");
+ $dumpfile("test_control_unit.vcd");
+ $dumpvars(0,test_contorl_unit);
+end
+
+
+
+initial begin
+ $monitor("At time=%t",$time);
+end
+
+endmodule \ No newline at end of file