blob: cc6f0b7ccb742347f2756c4e120261b44c8b0bb5 (
plain) (
blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
|
`timescale 1ns/1ps
module test_instr_memory;
reg [15:0]pc;
reg [15:0]instruction;
integer i;
instr_memory uut(
.pc(pc),
.instruction(instruction)
);
initial begin
$display("Start testing instruction memory");
$dumpfile("test_instr_memory.vcd");
$dumpvars(0,test_instr_memory);
for (i=0;i<=30;i=i+2)
begin
pc = i;
#10;
end
end
initial begin
$monitor("At time=%t",$time);
end
endmodule
|