summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorFreeArtMan <dos21h@gmail.com>2018-11-04 20:02:03 +0000
committerFreeArtMan <dos21h@gmail.com>2018-11-04 20:02:03 +0000
commitd19d2ab5740cdf7ffd950bddaa4bafd4976c2fed (patch)
treeb629cd3f8f8aa440d3ff51f79ab7a9be3355219b
parent10443a1398f3d4d0842e693eabbfaa82beab5d21 (diff)
downloadcpu8-d19d2ab5740cdf7ffd950bddaa4bafd4976c2fed.tar.gz
cpu8-d19d2ab5740cdf7ffd950bddaa4bafd4976c2fed.zip
Add DMUX
-rw-r--r--cpu8/cpu_dmux/Makefile12
-rw-r--r--cpu8/cpu_dmux/cpu_dmux.cpp73
-rw-r--r--cpu8/cpu_dmux/cpu_dmux.hpp61
3 files changed, 146 insertions, 0 deletions
diff --git a/cpu8/cpu_dmux/Makefile b/cpu8/cpu_dmux/Makefile
new file mode 100644
index 0000000..6748df2
--- /dev/null
+++ b/cpu8/cpu_dmux/Makefile
@@ -0,0 +1,12 @@
+SYSTEMC_PATH=/home/fam/downloads/source/systemc/systemc-2.3.2
+SYSTEMC_INC=$(SYSTEMC_PATH)/src
+SYSTEMC_LIB=$(SYSTEMC_PATH)/src/.libs
+
+PROJECT=cpu_dmux
+
+make:
+ g++ $(PROJECT).cpp -I$(SYSTEMC_INC) -L$(SYSTEMC_LIB) -Wl,-rpath=$(SYSTEMC_LIB)\
+ -o $(PROJECT) -lsystemc -lm
+
+
+
diff --git a/cpu8/cpu_dmux/cpu_dmux.cpp b/cpu8/cpu_dmux/cpu_dmux.cpp
new file mode 100644
index 0000000..8f10e28
--- /dev/null
+++ b/cpu8/cpu_dmux/cpu_dmux.cpp
@@ -0,0 +1,73 @@
+#include <iostream>
+#include <iomanip>
+
+#include "systemc.h"
+#include "systemc"
+#include <sysc/tracing/sc_trace.h>
+#include <sysc/tracing/sc_vcd_trace.h>
+
+#include "cpu_dmux.hpp"
+
+SC_MODULE(test_cpu_dmux)
+{
+ sc_out<bool> a,sel;
+ sc_in<bool> clk;
+
+ void test_cpu_dmux_stim()
+ {
+ wait();
+ a.write(0);
+ sel.write(0);
+
+ wait();
+ a.write(1);
+ sel.write(0);
+
+ wait();
+ a.write(0);
+ sel.write(1);
+
+ wait();
+ a.write(1);
+ sel.write(1);
+
+ wait();
+
+ sc_stop();
+ }
+
+ SC_CTOR(test_cpu_dmux)
+ {
+ SC_THREAD(test_cpu_dmux_stim);
+ sensitive << clk.pos();
+
+ }
+};
+
+int sc_main(int argc, char **argv) {
+ sc_signal<bool> sig_in_a, sig_out_b, sig_sel, sig_out_c;
+ sc_clock TestClk("TestClk", 10, SC_NS, 0.5, 1, SC_NS);
+
+ test_cpu_dmux Stim1("Stimulus");
+ Stim1.a(sig_in_a);
+ Stim1.sel(sig_sel);
+ Stim1.clk(TestClk);
+
+ cpu_dmux DUT("mux");
+ DUT.in_a(sig_in_a);
+ DUT.in_sel(sig_sel);
+ DUT.out_b(sig_out_b);
+ DUT.out_c(sig_out_c);
+
+ sc_trace_file *Tf;
+ Tf = sc_create_vcd_trace_file("trace_cpu_dmux.dat");
+ sc_trace(Tf, sig_in_a, "IN_A");
+ sc_trace(Tf, sig_sel, "IN_SEL");
+ sc_trace(Tf, sig_out_b, "OUT_B");
+ sc_trace(Tf, sig_out_c, "OUT_C");
+
+ sc_start();
+ sc_close_vcd_trace_file(Tf);
+
+ return(0);
+} \ No newline at end of file
diff --git a/cpu8/cpu_dmux/cpu_dmux.hpp b/cpu8/cpu_dmux/cpu_dmux.hpp
new file mode 100644
index 0000000..3584601
--- /dev/null
+++ b/cpu8/cpu_dmux/cpu_dmux.hpp
@@ -0,0 +1,61 @@
+#ifndef __SYSC_CPU_DMUX_HPP
+#define __SYSC_CPU_DMUX_HPP
+
+#include "systemc.h"
+#include "../cpu_and/cpu_and.hpp"
+#include "../cpu_or/cpu_or.hpp"
+#include "../cpu_not/cpu_not.hpp"
+
+SC_MODULE (cpu_dmux)
+{
+ //Inputs
+ sc_in <bool> in_a;
+ sc_in <bool> in_sel;
+ sc_out <bool> out_b;
+ sc_out <bool> out_c;
+
+ cpu_and *and1, *and2;
+ cpu_not *not1;
+
+ sc_signal<bool> sig_not1and2;
+
+ void do_dmux()
+ {
+ /*
+ if (in_sel.read() == 0)
+ {
+ out_c.write(in_a.read());
+ }
+ if (in_sel.read() == 1)
+ {
+ out_c.write(in_b.read());
+ }
+ */
+
+ }
+
+ SC_CTOR(cpu_dmux)
+ {
+ and1 = new cpu_and("AND1");
+ and2 = new cpu_and("AND2");
+ not1 = new cpu_not("NOT1");
+
+ and1->in_a(in_a);
+ and1->in_b(sig_not1and2);
+ and1->out_c(out_b);
+
+ and2->in_a(in_a);
+ and2->in_b(in_sel);
+ and2->out_c(out_c);
+
+ not1->in_a(in_sel);
+ not1->out_b(sig_not1and2);
+
+
+ //SC_METHOD(do_dmux);
+ //sensitive << in_a << in_b << in_sel;
+ }
+
+};
+
+#endif \ No newline at end of file